فی توو

مرجع دانلود فایل ,تحقیق , پروژه , پایان نامه , فایل فلش گوشی

فی توو

مرجع دانلود فایل ,تحقیق , پروژه , پایان نامه , فایل فلش گوشی

پاورپوینت کامل با عنوان آموزش FPGA و زبان VHDL در 82 اسلاید

اختصاصی از فی توو پاورپوینت کامل با عنوان آموزش FPGA و زبان VHDL در 82 اسلاید دانلود با لینک مستقیم و پر سرعت .

پاورپوینت کامل با عنوان آموزش FPGA و زبان VHDL در 82 اسلاید


پاورپوینت کامل با عنوان آموزش FPGA و زبان VHDL در 82 اسلاید

 

 

 

دروازه-آرایه (به انگلیسی: Gate Array) یا آرایه منطق غیرمتعهد (به انگلیسی: Uncommitted Logic Array) یک رویکرد جهت طراحی و ساخت مدارهای مجتمع با کاربرد خاص (ASIC)، با استفاده از تراشه‌های از پیش ساخته با قطعات فعال مانند دروازه NANDD می‌باشد، که بعداً با توجه به درخواست سفارش به وسیله اضافه کردن لایه‌های فلزی در محیط کارخانه به هم متصل می‌شوند.

طراحی

یک مدار دروازه-آرایه، یک مدار تراشه سیلیکونی از پیش ساخته با هیچ تابع خاصی است که در آن ترانزیستور، گیت‌های استاندارد NAND و یا NOR، و سایر قطعات فعال در موقعیت‌های از پیش تعریف شده به طور منظم قرار داده شده و روی یک ویفر که معمولاً برش اصلی یا شاه‌قاچ (master slice) نامیده می‌شود ساخته شده است. ایجاد یک مدار با یک تابع مشخص با اضافه کردن یک لایه سطح نهایی و یا لایه‌هایی از اتصالات فلزی به تراشه روی شاه‌قاچ در فرایند تولید انجام می‌شود، اتصال این عناصر اجازه می‌دهد که عملکرد این تراشه مطابق سفارش مورد نظر انجام شود. این لایه مشابه لایه یا لایه‌های مسی از یک تخته مدار چاپی (PCBB) است.

شاه‌قاچ معمولاً از پیش ساخته می‌باشند و در مقادیر زیاد بدون توجه به سفارشات مشتری ذخیره می‌شوند. طراحی و ساخت با توجه به مشخصات مشتری ممکن است در یک زمان کوتاه در مقایسه با سلول‌های استاندارد و یا طراحی سفارشی کامل به انجام شود. روش دروازه-آرایه هزینه‌های ماسک را زمانی که ماسک‌های سفارشی کمتری نیازمند تولید می‌باشد را کاهش می‌دهد. بعلاوه هزینه‌های ابزارهای تست کارخانه و زمان تست با توجه به اینکه ممکن است یک قالب تست یکسان (وسایل آزمون مشابه) برای تمام محصولات دروازه-آرایه ساخته شده در ابعاد مشابه مورد استفاده قرار بگیرد، کاهش می‌یابد. دروازه-آرایه‌ها به عنوان اجداد مدارهای ASIC دارای ساختار پیشرفته‌تر بودند، بر خلاف آرایه‌های دروازه، ASICها ساختار یافته تمایل دارند که شامل حافظه‌های از پیش تعریف شده یا قابل پیکربندی، و / یا بلاک‌های آنالوگ باشند. مدارهای ASIC ساختاریافته هنوز هم توسط برخی شرکت‌ها مانند ChipX، به فروش می‌رسد.

یک مدار کاربردی باید روی یک آرایه دروازه که به اندازه کافی دروازه یا گیت، سیم‌کشی و پایه‌های ورود‌ی-خروجی (I/O) دارد ساخته شود. از آنجا که نیازمندی‌ها متفاوت است، آرایه‌های دروازه معمولاً در قالب خانواده‌ها یا دسته‌های با اعضای بزرگتری که بیشتر از تمام منابع را دارند ارائه شده است، اما به نسبت گران تر هستند. در حالی که طراح نسبتاً به راحتی می‌تواند تعداد دروازه‌ها و پایه‌های ورود‌ی-خروجی مورد نیاز را تعیین کند، مقدار گام‌های مسیریابی مورد نیاز ممکن است به طور قابل ملاحظه‌ای حتی در میان طرح با همان مقدار از منطق متفاوت باشد.(به عنوان مثال، یک crossbar switch یا سوئیچ ماتریسی نیاز به مسیریابی بسیار بیشتر از یک آرایه سیستولیک با همان تعداد گیت دارد.) از آنجا که گام‌های مسیریابی استفاده نشده هزینه را، بدون ایجاد هیچگونه سودی، افزایش (و عملکرد را کاهش) می‌دهند، تولید کنندگان دروازه-آرایه سعی در ارائه تنها گام‌های (Track) لازم دارند. بنابراین بسیاری از طرح‌ها که از نظر دروازه و پایه‌های ورود‌ی-خروجی مناسب است را می‌توان تعیین مسیر کرد. این بوسیله تخمین چیزهایی که از قانون اجاره (Rent's rulee) و یا بوسیله تجربیاتی که از طرح‌های موجود بدست می‌آید، تعیین می‌شود.

اشکال اصلی آرایه‌های گیت، تراکم و عملکرد تا حدودی پایین‌تر در مقایسه با روش‌های دیگر طراحی مدارهای ASIC می‌باشد. با این حال این شیوه اغلب یک رویکرد قابل قبول برای حجم تولید کم است.

وی‌اچ‌دی‌ال (به انگلیسی VHDL) یک زبان توصیف سخت افزار برای بیان مشخصات سخت افزار است . زبان VHDL نخستین بار توسط وزارت دفاع آمریکا به منظور طراحی و توصیف مدارهای مجتمع سرعت بالا طراحی شد و مورد استفاده قرار گرفت . سپس در سال 1987 توسط انجمن IEEE (انجمن مهندسان برق و الکترونیک)در قالب استاندارد IEEE 1076-1987 ارائه گردید. پس از گذشت چند سال و انجام پاره ای ار تصحیحات، استاندارد دوم این زبان تحت عنوان IEEE 1076-1993 در اختیار عموم قرار گرفت . به طور کلی می توان مزایای زیر را در استفاده از زبان VHDL عنوان نمود :

  • با توجه به این که VHDL یک زبان استاندارد می باشد، کد نوشته توسط آن را می توان به روی سنتز کننده ها و تراشه های تولید کنندگان مختلف پیاده سازی نمود و نیازی به تغییر کد وجود ندارد .
  • شبیه ساز ها و کامپایلرهای این زبان در دسترس و ارزان قیمت می باشند .
  • با استفاده از این زبان می توان سیستم ها را به صورت ساختاری یا رفتاری مدل سازی نمود. توصیف رفتاری نشان دهنده عملکرد سیستم و چگونگی تولید خروجی ها بر اساس سیگنال های ورودی می باشد . با استفاده از این توصیف می توان عملکرد کلی سیستم را بیان کرد و از درگیر شدن با جزئیات بلوک های سازنده سیستم که در طرح های بزرگ به پیچیدگی توصیف سیستم منجر شود اجتناب نمود . در مقابل مدل ساختاری نشان دهنده نحوه ارتباط بلوک های سازنده سیستم است و بیانگر جزئیات بیشتری از سخت افزار می باشد . به این ترتیب با استفاده از این زبان امکان توصیف سخت افزار از سطح گیت تا سیستم فراهم می شود .
  • با استفاده از توصیف سخت افزاری می توان سیستم های پیچیده را توسط ارتباط بین بلوک های سازنده آن ها مدل سازی نمود، به این ترتیب پیاده سازی این سیستم ها توسط زبان VHDL ساده تر از زبان های برنامه نویسی از قبیل c می باشد .
  • با بکار گیری کتابخانه ها و component ها در زبان VHDL، می توان از المان های موجود و نوشته شده در سایر طراحی ها استفاده نمود . در واقع عملکرد آن ها شبیه DLL ها و توابع در زبان های برنامه نویسی نرم‌افزاری می باشد .
  • سرعت طراحی و پیاده سازی سیستم های پیچیده توسط این زبان بسیار بیشتر از طراحی شماتیک است زیرا چگونگی اتصال گیت ها و بلوک ها، توسط نرم‌افزار سنتز کننده تعیین می شود . به این ترتیب می توان سیستم های پیچیده را در مدت زمان کوتاهی پیاده سازی کرده، تغییرات و اصطلاحات مورد نیاز را در برنامه اعمال نمود .
  • استفاده از این زبان بستر مناسبی برای شبیه سازی سیستم مورد توصیف ایجاد می کند و پس از اطمینان از صحت عملکرد کد نوشته شده در محیط شبیه ساز، می توان توصیف سیستم را به روی تراشه مورد نظر پیاده کرد .

فهرست مطالب:

ASIC چیست؟

مزایا

معایب

FPGA چیست؟

مزایا

معایب

کاربردها

شرکت های سازنده

درباره زایلینکس

درباره آلترا

نحوه پیاده سازی مدارهای دیجیتالی در FPGA

پیاده سازی مدارات ترتیبی در FPGA

ضرب کننده

میکروپروسسور

طراحی ابتدایی

زبان توصیفی با سرعت خیلی بالا VHDL

شبیه سازی

سنتز

پیاده سازی

ساختار یک برنامه VHDL

معرفی کتابخانه ها

موجودیت

معماری

طراحی گیت NAND ساده

طراحی نیم جمع کننده

انواع داده

داده های از قبل تعریف شده توسط کاربر

نوع Bit و Bit Vector

انواع STD_LOGIC و STD_LOGIC_VECTOR

انواع STD_ULOGIC و STD_ULOGIC_VECTOR

تعریف نوع جدید داده

کتابخانه ها

بسته

عملگرها

انواع ساختارها در VHDL

ساختارهای موازی

ساختارهای متوالی

عبارت IF

عبارت WHEN

طراحی مدار مقایسه کننده

طراحی دیکودر 8*3

طراحی مالتی پلکسر 1*8

عبارت WITH

و...

 


دانلود با لینک مستقیم


پاورپوینت کامل با عنوان آموزش FPGA و زبان VHDL در 82 اسلاید

اسلایدهای کلاس FPGA-VHDL

اختصاصی از فی توو اسلایدهای کلاس FPGA-VHDL دانلود با لینک مستقیم و پر سرعت .

اسلایدهای کلاس FPGA-VHDL


 اسلایدهای کلاس FPGA-VHDL

در این فایل که PDF شده اسلایدهای کلاس FPGA-VHDL می باشد و چند سال تدریس و تکمیل شده است، محتوای ذیل وجود دارد:

مرور کلی بر FPGA و مراحل کلی کار از نوشتن کد تا ریخته شدن بر روی FPGA
کار با مجموعه ابزارهای ISE (ساخت پروژه جدید، کار با chipscope، ISIM، Planahead، IMPACT و ... )
مباحث مربوط به کدنویسی و ساختار زبان VHDL
بررسی دیتاشیت اسپارتان 3
آشنایی با معماری و قابلیتهای Spartan3
نکات مربوط به طراحی برد FPGA
قیود زمانی اصطلاحات تعاریف و مباحث timing و ...

دانلود با لینک مستقیم


اسلایدهای کلاس FPGA-VHDL

دانلود پروژه چراغ راهنمایی با vhdl

اختصاصی از فی توو دانلود پروژه چراغ راهنمایی با vhdl دانلود با لینک مستقیم و پر سرعت .

دانلود پروژه چراغ راهنمایی با vhdl


دانلود پروژه چراغ راهنمایی با vhdl

 

دانلود پروژه چراغ راهنمایی با vhdl

 

 

این پروژه یک بخش به نام clkdiv.vhd وجود دارد که کار این قسمت این است که کلاک لازم را برای وقفه های بین بخش نمایشگر ترافیک ایجاد میکند و در واقعیت به این ماژول نیاز است تا مثلاً برای تولید کلاک یک ثانیه از کلاک 3 کیلو هرتز وقفه ی لازم را تولید کند یا به اصطلاح یک بخش است برای تولید کلاک سنکرون میباشد.در شبیه سازی برای تولید کلاک سرعیتر نیازی به این بخش نیست اما در واقعیت نیاز است این بخش وجود داشته باشد تا از روی کلاک کلی مدار بتوان کلاک یک ثانیه را محاسبه و برای قسمتهای دیگر مدار تولید کرد.شبیه سازی با استفاده از نرم افزار ise انجام شده و فایل پروژه شامل فایل شبیه سازی و پروژه ise میباشد.البته میتوان از کدهای vhdl در این پروژه برای دیگر نرم افزارهای vhdl شبیه به کوارتیوس و اکتیو hdl ومدلسیم هم استفاده کرد.

 


دانلود با لینک مستقیم


دانلود پروژه چراغ راهنمایی با vhdl

طراحی سیستم دیجیتال با استفاده از VHDL (ویرایش دوم - زبان اصلی)

اختصاصی از فی توو طراحی سیستم دیجیتال با استفاده از VHDL (ویرایش دوم - زبان اصلی) دانلود با لینک مستقیم و پر سرعت .

طراحی سیستم دیجیتال با استفاده از VHDL (ویرایش دوم - زبان اصلی)


طراحی سیستم دیجیتال با استفاده از VHDL (ویرایش دوم - زبان اصلی)

کتاب "Digital system design with VHDL" توسط پروفسور Mark Zwolinski نوشته شده است.

این کتاب با دیدگاه سخت افزاری مطالب را دسته بندی و ارائه کرده است. بنابراین سرفصل هایی که بر اساس مشخصات زبان VHDL نوشته شده باشد در این کتاب نمی بینید بلکه فصول کتاب بر اساس مفاهیم سخت افزاری از قبیل مدارهای ترکیبی، مدارهای ترتیبی و ... فهرست شده اند. در این کتاب به بسیاری از مسائل مهم طراحی از قبیل طراحی برای تست و طراحی سیستم آسنکرون و ... پرداخته شده که اغلب کتاب های VHDL به دلیل ماهیت پیشرفته اینگونه مسائل از آن چشم پوشی کرده اند. این کتاب به دلیل ماهیت سخت افزار گرا، کلیه خصوصیات زبان VHDL از سطحوح ابتدایی تا بسیار پیشرفته را پوشش داده است لذا هم به عنوان کتاب درسی دانشگاهی و هم به عنوان کتاب مرجع مهندسین قابل استفاده است.

 

فهرست مندجات:

Preface

1 Introduction

1.1 Modern digital design
1.2 CMOS technology
1.3 Programmable logic
1.4 Electrical properties
Summary
Further reading
Exercises


2 Combinational logic design

2.1 Boolean algebra
2.2 Logic gates
2.3 Combinational logic design
2.4 Timing
2.5 Number codes
Summary
Further reading
Exercises


3 Combinational logic using VHDL gate models

3.1 Entities and architectures
3.2 Identifiers, spaces and comments
3.3 Netlists
3.4 Signal assignments
3.5 Generics
3.6 Constant and open ports
3.7 Testbenches
3.8 Configurations
Summary
Further reading
Exercises


4 Combinational building blocks

4.1 Three state buffers
4.2 Decoders
4.3 Multiplexers
4.4 Priority encoder
4.5 Adders
4.6 Parity checker
4.7 Testbenches for combinational circuits
Summary
Further reading
Exercises


5 Synchronous sequential design

5.1 Synchronous sequential systems
5.2 Models of synchronous sequential systems
5.3 Algorithmic state machines
5.4 Synthesis from ASM charts
5.5 State machines in VHDL
5.6 VHDL Testbenches for state machines
Summary
Further reading
Exercises


6 VHDL models of sequential logic blocks

6.1 Latches
6.2 Flip-flops
6.3 JK and T flip-flops
6.4 Registers and shift registers
6.5 Counters
6.6 Memory
6.7 Sequential multiplier
6.8 Testbenches for sequential building blocks
Summary
Further reading
Exercises


7 Complex sequential systems

7.1 Linked state machines
7.2 Datapath/controller partitioning
7.3 Instructions
7.4 A simple microprocessor
7.5 VHDL model of a simple microprocessor
Summary
further reading

Exercises

8 VHDL simulation

8.1 Event-driven simulation
8.2 Simulation of VHDL models
8.3 Simulation modelling issues
8.4 File operations
Summary
Further reading
Exercises


9 VHDL synthesis

9.1 RTL synthesis
9.2 Constraints
9.3 Synthesis for FPGAs
9.4 Behavioural synthesis
9.5 Verifying synthesis results
Summary
Further Reading
Exercises


10 Testing digital systems

10.1 The need for testing
10.2 Fault models
10.3 Fault-oriented test pattern generation
10.4 Fault simulation
10.5 Fault simulation in VHDL
Summary
Further reading
Exercises


11 Design for Testability

11.1 Ad hoc testability improvements
11.2 Structured design for test
11.3 Built-in self-test
11.4 Boundary scan (IEEE 1149.1)
Summary
Further reading
Exercises
12 Asynchronous sequential design

12.1 Asynchronous circuits
12.2 Analysis of asynchronous circuits
12.3 Design of asynchronous sequential circuits
12.4 Aysnchronous state machines
12.5 Setup and hold times and metastability
Summary
Further reading
Exercises


13 Interfacing with the analogue world

13.1 Digital to analogue converters
13.2 Analogue to digital converters
13.3 VHDL-AMS
13.4 Phased-locked loops
13.5 VHDL-AMS simulators

Summary
Further reading
Exercises


Appendix A VHDL standards

Appendix B Verilog

Appendix C Shared variable packages

Bibliography

Answers to selected exercises

Index

 


دانلود با لینک مستقیم


طراحی سیستم دیجیتال با استفاده از VHDL (ویرایش دوم - زبان اصلی)